Contents

Os futuros iPhones da Apple usarão a tecnologia de ponta de chip de 2 nm da TSMC?

As novidades com a TSMC continuam. A gigante taiwanesa apresentou o seu roteiro até 2026 como já vimos, mas curiosamente há mais dados que foram vazados. A empresa falou sobre seu 2nm e quando chegaria, mas não revelou muita coisa. Agora, outros slides anexados colocam mais lenha na fogueira e certos rumores viram o jogo com a Apple, que até agora tem sido o melhor cliente da TSMC. Quem se atreve a tossir para quem tem a maçã mordida? Mais uma gigante que avança sem freios: NVIDIA. Portanto, veremos a relação de nós, anos e produtos que eles estão em produção agora TSMC, seus 2nm, 1,4nm (A14) e 1nm (A10) até 2030.

Na verdade, o roteiro para 2026 é agora acompanhado pelas projeções antecipadas da TSMC para os anos que antecedem 2030. Embora o IMEC tenha delineado uma trajetória que se estende até 2036, parece que nem a Intel nem a Samsung divulgaram publicamente planos para além dos seus respetivos produtos de próxima geração. Diante disso, a Intel revelou recentemente sua arquitetura Meteor Lake, que utiliza o nó de processo Intel 16A, levando a TSMC a formular uma resposta correspondente para manter a vantagem competitiva no mercado.

A guerra de 2 nm da TSMC coloca NVIDIA e Apple uma contra a outra

/images/TSMC-Roadmap-2026-N3E-N3P-N3X-N2-N2-BSPDN-N2P-y-N2X.jpg

Muitas informações chegam até nós de vários pontos, então para canalizar e organizar a narrativa vamos fazê-lo como sempre, em ordem temporal, desde o futuro mais imediato até o mais distante que vazou. O futuro mais próximo é o 2nm da TSMC, que irá competir, no final é claro, contra o Intel 18A e possivelmente, dado o que foi visto ontem, com Intel 16A em Tiles , e não como Base Tiles para outros Tiles, que é o que o Meteor Lake mostrou ontem.

De acordo com as informações divulgadas a respeito das especificações do processo de fabricação de 2 nm oferecido pela Taiwan Semiconductor Manufacturing Company (TSMC),

-A FAB de Hsinchu terá instalações de equipamentos mais cedo e iniciará a produção inicial de 2nm no segundo trimestre de 2024. Depois disso, a produção em massa, e se tudo correr bem, está prevista para o quarto trimestre de 2025 Ou seja, um ano e meio depois, chegando ao mercado, esperançosamente e se os prazos forem cumpridos , antes de finalizá-lo. Caso contrário, seria em 2026 conforme anunciado TSMC inicialmente. -A FAB de Kaohsiung Será a segunda a hospedá-los. A instalação dos equipamentos e scanners começará no terceiro trimestre de 2025 e a produção em massa será em 2026 aumentando e apoiando a de Hsinchu.

Para complementar esses dados, a FAB de Hsinchu produzirá 30.000 wafers N2 por mês de forma simples, e terá a opção conforme o cliente de adicionar BSPDN por um custo maior e com menor desempenho de produção.

A FAB de Kaohsiung será a que produzirá primeiro N2P , que manterá aqueles 30.000 wafers de sua irmã com este nó mais avançado da briga, que integra sim ou sim BSPDN. Dito tudo isso, o que a Apple e a NVIDIA têm a ver neste momento?

A luta ao longo do tempo pelos 2 nm da TSMC e como eles não chegam a tempo para a Apple

/images/TSMC-N2.jpg

A Apple está vendendo, como todas as empresas de design de chips, seus produtos, seus SoCs, em edições anuais. Ou seja, a cada ano eles lançam um SoC diferente e melhorado em relação ao antecessor com pequenos saltos de desempenho, cobrado a preço de ouro, como não poderia ser de outra forma.

Na verdade, pode-se observar que a abordagem da NVIDIA em relação às GPUs para jogos se desvia da trajetória seguida por outros fabricantes como a Intel, devido às complexidades inerentes ao design de seus chips. Em resposta, a Intel fez alguns avanços nesse sentido, enquanto a AMD se esforça para manter o ritmo. Consequentemente, a atual competição entre estas empresas para introduzir novos produtos pode ter repercussões para a TSMC.

Alegadamente, A Apple não atinge os 2 nanômetros da TSMC com o iPhone 17 porque a produção começa muito tarde em 2025 e, portanto, a NVIDIA começou a produzir, logicamente, seu R100 com arquitetura Rubin para IA e suas variantes.

/images/NVIDIA-Roadmap-IA-2025-GPU-H200-B100-y-X100.jpg

Ou o que é igual, e como antecipamos na semana passada especulando com o roteiro original de 2026 na TSMC: o A19 Pro (se finalmente for chamado assim) não será fabricado no N2 dos taiwaneses, mas no N3X.

Isso supõe três anos com o mesmo nó base com pequenas melhorias para a Apple, algo que nunca aconteceu na história e que limitará em maior ou menor grau o desempenho do SoC, porque nem em densidade, nem em eficiência nem na velocidade há muita melhoria em relação ao N3 original.

Ontem a Intel mostrou seu potencial empiricamente, medo na TSMC?

/images/Pat-Gelsinger-obleas-wafer-Intel-7-Intel-4-Intel-3-Intel-20A-e-Intel-18A.jpg

Por que tudo isso é importante? Bem, porque a Intel, liderada por Pat Gelsinger, mostrou ao vivo ontem os wafers finalizados dos nós Intel 20A e Intel 18A pela segunda vez em 2023, que posaram ao lado de Intel 7, Intel 4 e Intel 3. Aonde um servidor quer chegar é simples: os problemas da TSMC e seus atrasos permitirão que a Intel e seus FABs cheguem mais cedo com os nós de ponta em IFS , podendo aumentar, temporariamente falando, a distância com a TSMC.

Ou seja, a TSMC “dará tempo” para que a Intel expanda a capacidade de produção e consiga roubar clientes de Taiwan, já que garantem alta capacidade de produção e chegam mais cedo com nós melhores, por exemplo com BSPDN (Power Via) já implementados, transistores GAA e Foveros 3D.

/images/Intel-Tech-Day-2023-Roadmap-2.jpg

A Apple poderia mudar para a Intel com seus nós Intel 18A, Intel 16A e Intel 14A em 2025-2026? Pois bem, a resposta depende da capacidade de produção da gigante azul e não tanto dos benefícios do nó, porque a Apple vende numa gama de 90 milhões de iPhones anualmente, um número imenso que tem de ser garantido para que Tim Cook leva seu dinheiro para a IFS e não para a TSMC.

Enquanto isso, a Intel continua a expandir a referida capacidade de produção e o fará até o final da década, então tudo está no ar. Vale lembrar que o CEO da Intel confirmou que recebeu um pedido gigante de um grande designer de chips. Pensava-se que poderia ser a NVIDIA, mas… Será que finalmente poderia ser a Apple?

TSMC A14 e A10, uma mudança que chega tarde?

/images/TSMC-Roadmap-N5-N4-N3-N3E-N2-N2P-A14-A10-2020-a-2030.jpg

O golpe da Intel é tamanho que a TSMC vai mudar, como o blues fez antes, o nome de seus nós litográficos. Eles não serão mais N seguidos do número e letra correspondentes (no caso de evoluções do original), mas optam por algo semelhante ao que a Intel está usando agora.

Dada a limitada informação disponível, é, no entanto, possível fornecer uma visão geral do que está por vir. Após a introdução do N2 em 2026 e das suas variantes N2P e N2X até 2027, que foram confirmadas, a TSMC já iniciou a investigação sobre os seus sucessores. A convenção de nomenclatura destas futuras gerações será precedida da letra “A”, seguida de uma designação numérica.

Para ser mais específico, do TSMC N2 e suas variantes passaremos para A14 e A10 , ou seja, 1,4nm e 1nm. O que sabemos sobre eles? Bem, o que vazou não é muito otimista, é preciso dizer. Aparentemente, e dado que a TSMC está atrasada com os transistores GAA, o nó A14 chegará a partir de 2027 com a segunda geração destes transistores NanoSheet , enquanto o nó TSMC A10 chegará em 2030 com Forksheet já em seu currículo.

O iPhone 19… Com A21 Pro SoC e A14 da TSMC em 2027?

/images/Transistores-atomicos-para-chips-roadmap-Imec-2023-2036.jpg

Para nos situarmos temporariamente, Intel terá nós com transistores GAA Forksheet em 2027 (Intel 10A?) e Samsung Há rumores de que eles também os terão prontos com um nó SF1 que certamente tem um Metal Pitch interno de 16 nm, que deveria ser chamado de SF1-6 ou similar. Por fim, basta lembrar que os transistores Forksheet são uma evolução menor do atual GAA e que a mudança real nestes será CFET, que ocorrerá a partir de 2030.

Portanto, a Apple cede o trono para a NVIDIA com a TSMC, já que quem tem a maçã mordida, como mencionado, vai ficar 3 anos seguidos com versões diferentes do N3 e depois dar o salto para 2 nm e, esperançosamente, dê o salto para 1,4 nm com o A14 em 2027 já no iPhone 19.

Porém, há um boato nos bastidores de que os de Taiwan poderiam chegar atrasados ​​​​novamente nesse ano e, portanto, o iPhone 19 com o SoC A21 Pro chegaria com o N2X, e não com o TSMC A14, novamente entrando em um ciclo que esperamos que seja resolvido antes de 2030 para a TSMC ou a Apple não hesitará em tomar medidas como já fez com a Intel em seus Macbooks. Se assim fosse, o TSMC A10 está realmente mais perto de 2030 do que de acelerar o seu lançamento no mercado, deixando a Intel demasiado sozinha num sector que já domina hoje após um regresso incrível.

*️⃣ Link da fonte:

[relação de nós, anos e produtos](https://www.computerbase.de/2023-12/1-4-und-1-0-nanometer-tsmcs-benennt-a14-und-a10-prozess-fuer-die-zukunft/# bilder) , vazou informações sobre esses 2 nm ,