Contents

Um confronto de desempenho com 2nm da TSMC

O CEO da Intel, Pat Gelsinger, mostrou seu peito neste fim de semana sobre os grandes avanços que sua fundição fez Intel Foundry Services com seu nó Intel 18A em comparação com o 2nm da TSMC. Estas declarações ele as fez a Barrons depois de seu importante evento de AI Everywhere (AI em todos os lugares). Especificamente, Pat Gelsinger deu notícias sobre o processo de fabricação mais avançado da empresa, o Intel 18A. O progresso foi tamanho que se tornaria a melhor litografia do mercado.

Intel 18A tem potencial para superar os 2nm da TSMC

/images/TSMC-2-nm-en-2026.jpg

Foi nesse evento que Pat Gelsinger afirmou que sua litografia Intel 18A tem potencial para superar o nó N2 (2nm) da TSMC. Especialmente após o uso de um método avançado de fornecimento de energia (PowerVia). Levando também em consideração as datas de lançamento dos nós Intel e TSMC, parece que A Intel poderia liderar o negócio de fundição com este processo de fabricação.

Recentemente revelamos dois avanços significativos com o modelo 18A, incluindo um transistor atualizado e recursos de alimentação voltados para trás. Embora seja evidente que o transistor usado no processo de fabricação do TSMC N2 difere de nossa própria implementação no 18A, resta saber qual opção oferece desempenho superior. O veredicto final dependerá de vários fatores.

A Intel foi reconhecida como líder no fornecimento de energia traseira eficiente e poderosa em comparação com seus concorrentes, de acordo com especialistas do setor. Essa vantagem se traduz em maior eficiência de área para o silício da empresa, resultando em redução de custos e mantendo altos níveis de desempenho. Além disso, os recursos aprimorados de fornecimento de energia permitem maior desempenho geral, colocando a Intel em vantagem sobre outros fabricantes, como N2 e TSMC, que podem estar atrasados ​​em termos de suas futuras tecnologias de processo.

O nó mais avançado da Intel se orgulha de lançar diversas tecnologias

/images/Intel-20A-y-18A-specs.jpg

Já mencionamos que o Intel 18A usará novos transistores conhecidos como RibbonFet. Continuamos com a potência traseira PowerVia que aplicará melhorias notáveis ​​​​de desempenho. Especificamente, com relação ao nó Intel 20A, espera-se que o Intel 10A apresente uma melhoria de desempenho de 10% por watt consumido. A melhoria é tamanha que rumores indicavam há algumas semanas que Arm poderia ser o primeiro cliente da Intel a lançar este processo de fabricação que rivalizará com o mais avançado da TSMC, 2 nm.

A corporação revelou tecnologias inovadoras, incluindo transistores CMOS tridimensionais e a incorporação de vidro em embalagens de próxima geração. Esses desenvolvimentos de ponta prometem produzir melhorias impressionantes tanto no desempenho quanto na eficiência energética em nossas futuras técnicas de produção.

Felizmente, para a Intel tudo correu bem. Espera-se que a litografia Intel 18A ganhe vida durante o segundo semestre de 2024. Agora, do lado da TSMC, 2nm não chegará até algum momento do ano de 2026. Portanto esta vantagem tecnológica da Intel Foundry Services vai colocá-la aos olhos das principais empresas que querem dar vida aos seus chips. Aproveitar a vantagem tecnológica é essencial em comparação com outras soluções da TSMC e Samsung Foundry.

*️⃣ Link da fonte:

ele os fez para Barrons,