Contents

Descobrindo o potencial inexplorado da floresta Xeon Clearwater além do processo 18A da Intel

Contents

Revelações recentes sobre Clearwater Forest, um futuro processador Intel Xeon baseado na tecnologia E-Core e com lançamento previsto para 2025, despertaram um interesse considerável entre os especialistas do setor. Notavelmente, este processador de última geração está programado para suceder o Xeon “Sierra Forest” da geração atual, que deverá fazer sua aparição inaugural ainda este ano.

/images/intel-clearwater-orest-30-03-2023.jpg

A Intel divulgou anteriormente sua intenção de fabricar núcleos baseados na arquitetura Darkmont usando o avançado processo Intel 18A, que representa uma iteração refinada do processo 20A existente. Esta técnica de fabricação inovadora anuncia a introdução de novos transistores RibbonFET e sistema de fornecimento de energia derivado do design do transistor PowerVia, marcando um marco tecnológico significativo na engenharia de semicondutores.

/images/intel-clearwater-forest-chip-26-02-2024.jpg

Na verdade, Clearwater Forest possui uma série de recursos inovadores, incluindo a utilização de tecnologia de ponta, como Foveros Direct 3D e EMIB 3.5D. Esta abordagem dupla permite conexões verticais entre chips empilhados com proximidade excepcional, resultando em taxas de transferência de dados elevadas.

/images/foveros-direct-3d-26-02-2024.png

O Foveros Direct 3D utiliza uma nova abordagem conhecida como “ligação híbrida”, que envolve o alinhamento e acoplamento precisos de contatos de chips individuais. Este processo envolve a criação de conexões elétricas de cobre orientadas verticalmente, que a Intel chama de “ligação por termocompressão de links verticais de cobre”. Notavelmente, este método tem semelhança com a tecnologia System-on-Integrated Chip (SoIC) da TSMC, que foi adotada em certas iterações das CPUs Ryzen da AMD para implementação de 3D V-Cache.

A Intel anunciou que sua tecnologia Foveros Direct 3D pode ser utilizada de duas maneiras – “cara a cara” ou “cara a cara”. Além disso, suporta a integração de wafers e chips de diversos fabricantes. Com o lançamento inicial desta tecnologia, o espaçamento da solda será medido em 9 micrômetros; no entanto, espera-se que as gerações futuras reduzam esta distância para 3 micrômetros.

/images/intel-clearwater-forest-tape-out-26-02-2024.jpg

A Intel pretende utilizar sua tecnologia Foveros Direct 3D incorporando a matriz central Clearwater Forest em seu processo de fabricação 3-T. Essa integração inclui a inclusão de um cache local dentro do dado base, semelhante ao encontrado no Compute Tile. Embora isso possa parecer um movimento sem precedentes para a Intel, eles já implementaram tal abordagem com seu acelerador Ponte Vecchio High Performance Computing (HPC).

O EMIB 3.5D representa uma fusão das tecnologias EMIB e Foveros, embora cada componente individual constitua processos e metodologias distintas. Em sua próxima iteração, o EMIB deverá diminuir a altura de suas saliências de solda de 55 para 45 micrômetros, a fim de melhorar o desempenho.

/images/emib-26-02-2024.png

Durante o evento IFS Direct Connect 2024, o CEO Pat Gelsinger revelou um protótipo do próximo chip Clearwater Forest, que apresenta dois blocos de computação em seu núcleo e dois blocos de E/S posicionados adjacentes a eles, uma reminiscência dos designs antecipados de Sierra Forest e Granite Rapids. previsto para ser lançado ainda este ano.

*️⃣ Link da fonte:

detalhes técnicos interessantes,