Contents

O caminho da Intel para manter viva a lei de Moore

Contents

A Intel declarou seu compromisso de defender a Lei de Moore a todo custo, independentemente de quaisquer críticas ou objeções de outras empresas do setor. Essa decisão foi enfatizada durante o International Electron Devices Meeting (IEDM), realizado em 2003, onde a Intel apresentou seus avanços inovadores projetados não apenas para manter, mas também para melhorar a progressão delineada pela Lei de Moore no futuro.

/images/iedm-2023-intel-1-11-12-2023.jpg

Clique para ampliar

A força motriz por trás deste evento é o departamento de Pesquisa de Componentes da Intel, que continuamente amplia os limites da inovação e da ciência dos materiais a novos patamares. Os esforços inovadores deste departamento produziram vários avanços ao longo dos anos, incluindo o desenvolvimento de silício tenso, materiais de porta de metal de alto k, finFET, transistores ribbonFET, interpositor eletromagnético e tecnologia de ligação por fusão. Várias dessas tecnologias de ponta estão atualmente integradas aos processadores Intel, enquanto outras estão programadas para implementação em um futuro próximo.

/images/iedm-2023-intel-2-11-12-2023.JPG

A iteração de 2023 apresentou uma série de contribuições notáveis, especificamente aquelas relacionadas aos avanços nas instalações de Santa Clara. Um desses desenvolvimentos envolveu o empilhamento tridimensional de transistores semicondutores de óxido metálico complementar (CMOS), juntamente com tecnologias de energia de ponta e métodos inovadores para estabelecer contato direto traseiro com esses componentes.

/images/iedm-2023-intel-3-11-12-2023.jpg

Clique para ampliar

Uma nova conquista foi realizada no domínio da tecnologia de circuitos integrados, pois marca o exemplo inaugural em que a viabilidade de empilhamento vertical de transistores de efeito de campo complementares (CFETs) com passo de porta minimizado de 60 nanômetros, correspondendo à distância mais curta possível separando portas de dispositivos adjacentes, foi demonstrada com sucesso ao fornecer meios para sua operação. Esta realização inovadora envolve a interligação destes componentes avançados através das suas superfícies traseiras.

/images/iedm-2023-intel-4-11-12-2023.jpg

Clique para ampliar

A implementação de sua tecnologia mais recente pela Intel produz vantagens significativas em termos de utilização eficiente do espaço, desempenho aprimorado e consumo de energia reduzido. Este desenvolvimento mostra a capacidade de inovação da empresa ao introduzir uma nova geração de transistores GAAFET renomeados como RibbonFET, ao mesmo tempo que desafia concorrentes como TSMC e Samsung a acompanhar o ritmo. Espera-se que a Intel estreie o fornecimento de energia através da parte traseira do transistor usando PowerVia e o processo Intel 20A em 2024, seguido por melhorias adicionais com a iteração Intel 18A subsequente, posicionando-os na vanguarda da pesquisa de ponta.

A Intel exibiu pela primeira vez a integração monolítica tridimensional em grande escala de transistores de silício ao lado de transistores de nitreto de gálio (GaN) em um wafer semelhante de 300 milímetros, em vez de ser colocado na mesma embalagem.

/images/iedm-2023-intel-5-11-12-2023.jpg

Clique para ampliar

A Intel apresentou um IC de energia inovador conhecido como DrGaN, que se concentra em melhorar a eficiência e a densidade do fornecimento de energia nos chips. Este desenvolvimento visa garantir que o componente de energia permaneça alinhado com os avanços em outros aspectos do chip, atendendo assim às crescentes demandas da computação moderna.

A Intel também avançou na investigação e no desenvolvimento de transistores bidimensionais (2D), utilizando materiais de “dichalcogeneto de metal de transição” (TMD), que se acredita permitirem que o comprimento da porta física seja menor que 10 nanômetros.

No International Electron Devices Meeting em 2023, a Intel apresentou protótipos de transistores baseados em dichalcogenetos de metais de transição para lógica NMOS e PMOS, que são parte integrante da tecnologia complementar de semicondutor de óxido metálico (CMOS). Além disso, a Intel revelou um transistor gate-all-around feito de TMD PMOS bidimensional, bem como discutiu a produção do primeiro PMOS 2D do mundo em wafers de 300 mm.

*️⃣ Link da fonte: