Contents

O futuro da revolução do hardware

Os chiplets não são novos, pois estão conosco desde que a AMD lançou suas CPUs Ryzen 3000 para computadores desktop. Porém, não percebemos e o tempo voa, e aos poucos o conceito de criar o que antes era um chip feito em uma única peça vai se tornando cada vez menos comum. Talvez esta forma de fazer um chip lhe seja familiar com o conceito de chip monolítico. Porém, qual a razão desta tendência e que limitações e vantagens ela acarreta no projeto e fabricação de novos semicondutores? Continue lendo se quiser as respostas.

A noção de chips, que a Intel chama de “blocos” em seu material promocional, envolve a composição de vários chips ou ladrilhos dentro de um design. Esta abordagem envolve a fragmentação ou segmentação de um chip solitário, mas tornou-se uma prática cada vez mais prevalente entre vários fabricantes para diversas arquiteturas.

O que são chips?

/images/AMD-Chipset-X670-Chiplets.jpg

Os sistemas multichip existem desde o início da computação. Porém, quando falamos de chiplets não estamos nos referindo ao clássico MCM (“Módulo de Chip Múltiplo” em espanhol). Neste caso poderíamos chamá-lo de desintegração ou separação de um chip em vários diferentes, nos quais cada um deles tem uma funcionalidade diferente dentro do todo, sendo, portanto, um processo totalmente contrário à integração de componentes resultante da redução de tamanho dos diferentes transistores por litografia.

No entanto, cada vez mais designs baseados em chiplets chegam ao mercado. Nestes não só encontramos um chip que se divide em diferentes peças, mas também muitas vezes a divisão é entre diferentes processos de fabricação. A principal razão para fazer isso é poder ultrapassar o limite de tamanho que um chip possui se for fabricado em uma única peça (monolítico). Este tamanho é definido pelo tamanho das fotomáscaras. Estas não podem exceder um tamanho de 26 mm de largura por 33 mm de altura. Ou seja, 858 mm². Claro, o tamanho será reduzido pela metade, para 429 mm² devido ao uso de litografia baseada em High-NA EUV devido ao uso de lentes amorfas.

Ou seja, a evolução para chips de diversas arquiteturas responde, em princípio, a dois obstáculos. O primeiro deles é a potência exceder o tamanho do chip. A segunda é ter tudo pronto para um futuro em que qualquer projeto acima de 429 mm² terá que considerar vários chips devido a óbvias limitações físicas.

Fatores econômicos adicionais que justificam sua existência

/images/Economia-Chiplets.jpg

Agora, em princípio, a ideia de dividir o chip em diferentes chips por motivos de litografia, pelo menos atualmente, parece não ter justificativa no mundo do PC doméstico. Por exemplo, a GPU mais poderosa, a GeForce RTX 4090. Isso faz uso de um chip gráfico NVIDIA AD102 com design monolítico. Com tamanho de 608,5 mm², está longe do limite de 858 mm². Se já falamos de CPU, o Core i9-14900K tem um tamanho bem menor, com 257 mm². Portanto, à primeira vista não parece ser a questão dos chiplets , pelo menos no PC.

O outro lado da moeda é a AMD, mas neste caso é entendida de uma forma muito específica. O design de suas CPUs e GPUs com design de chip não se baseia em dividir tudo por dividir. A ideia é criar uma peça repetitiva no chip para ser capaz de dimensioná-la em diferentes designs.

Por exemplo, o CCD (Core Chiplet Die) de suas CPUs pode ser usado em toda a sua gama de processadores. Ou seja, em Ryzen, Threadripper e EPYC. E tudo isso não há necessidade de redesenhar um novo conjunto de núcleos. Na GPU temos os MCDs que são a interface de memória e o cache de último nível, que também são elementos repetidos.

Outro ponto é o fato de que os custos de desenvolvimento e implantação das novas litografias são tão altos que a vida útil de algumas gerações de produtos não é mais suficiente. Por isso são utilizados chiplets de litografias diferentes e, em alguns casos até de fabricantes diferentes, no design e produção de cada chip.

Um exemplo muito claro dos novos processadores Intel Core Ultra (Meteor Lake). Eles oferecem um design de chip dividido em cinco partes (CPU Tile, iGPU Tile, SoC, I/O Die e Forevos 3D die base). O mais impressionante é que estes combinam nada menos que quatro processos de fabricação: Intel 4, Intel 16 e TSMC em 6nm e 5nm.

Limitações existentes

/images/MI200-AMD-Interposers.jpg

Embora isso não ocorra em todos os designs, descobrimos que existem tipos de chips, especialmente em GPUs. Estes requerem enormes larguras de banda para intercomunicação entre diferentes partes. Ao separá-los e aumentar o comprimento dos cabos internos, devido aos efeitos da resistência elétrica, acarreta um aumento nos custos de energia na transferência de dados.

Diversas estratégias de design incorporam a utilização de um intermediário, que serve como mediador para facilitar a comunicação vertical entre vários componentes do sistema. Normalmente construído como um componente substancial, o intermediário é fabricado usando um processo semicondutor que antecede os nós mais avançados empregados em outras partes do dispositivo.

Demonstrou-se que a utilização de interpositores em uma arquitetura de chiplet diminui o consumo de energia em virtude de permitir um aumento na quantidade de conexões, o que pode ser alcançado com a mesma largura de banda enquanto emprega frequências de clock reduzidas e níveis de tensão correspondentemente diminuídos no processo de design.

A maior desvantagem é que não se trata apenas de mais uma peça aplicada ao design, mas também de uma série de etapas adicionais. Isto não só torna a fabricação mais cara, mas também aumenta as chances de falha durante o processo de fabricação. Mesmo assim, e como comentamos anteriormente, a adoção da litografia baseada na tecnologia High-NA EUV forçará o uso de interpositores em diversos projetos. Principalmente aqueles que possuem GPU interna, como já vimos no Intel Meteor Lake.

O futuro dos chips: diferentes marcas em um único design

/images/Chiplets-UCie-Concepto.jpg

Atualmente, os diferentes microprocessadores, independente de sua função, que são separados por chiplets possuem peças do mesmo designer, independente de onde sejam fabricados. É por isso que já Finalmente, temos que falar sobre o futuro dos chips, e graças ao padrão de intercomunicação entre eles, o chamado UCIe (Universal Chiplet Interconnect Express), será possível combinar peças não só de diferentes fabricantes, mas também designs de diferentes marcas de acordo com a necessidade de cada fabricante. O que queremos dizer com isso? Bem, por exemplo, no futuro poderemos ver um console com uma CPU AMD e uma GPU NVIDIA integrada como chips.

A introdução deste grau de modularidade tem o potencial de provocar transformações significativas no futuro. Especificamente no que diz respeito aos controladores de memória de unidades de processamento gráfico (GPU), é concebível que estes componentes deixem de ser controlados exclusivamente por fabricantes individuais e passem a depender de um fornecedor partilhado.

Coisas como aceleradores para tarefas específicas como criptografia, unidades de processamento de imagens e muitos outros elementos podem ser terceirizados para empresas com conhecimento muito mais especializado em vez de serem feitos em uma única empresa. Ou seja, se uma determinada marca apresenta deficiências em determinadas áreas, em termos de desempenho, veremos como ela adotará soluções de terceiros.

Não só isso, mas os Interposers já estão indo além de um simples meio de comunicação para integrar funcionalidades adicionais. Seja na forma de unidades de suporte adicionais ou mesmo hospedando caches globais de último nível em designs específicos. Claro, para ver os primeiros designs heterogêneos, em termos de designs de fabricantes diferentes, bem ainda teremos que esperar um pouco.

*️⃣ Link da fonte: